1. 首页
  2. 课程学习
  3. 专业指导
  4. FPGA的数字时钟设计(VHDL语言编写)

FPGA的数字时钟设计(VHDL语言编写)

上传者: 2019-07-26 21:38:41上传 DOC文件 19KB 热度 37次
时钟功能:完成分/时的正确计数并显示,由于数码管数目的限制,用发光二极管提示秒;闹钟定时:可设置一组闹钟,并可在需要时将其关闭,利用二极管的发光代替扬声器的发声;时钟校时:可以分别对分/时钟进行调整;整点响铃:整点前十秒起发出提示音,利用二极管的发光代替扬声器的发声。
用户评论