1. 首页
  2. 编程语言
  3. 其他
  4. 数字时钟设计,用的是vhdl语言

数字时钟设计,用的是vhdl语言

上传者: 2019-03-17 10:15:34上传 DOC文件 203KB 热度 56次
根据以上对于多功能数字钟的功能的描述,可以将整个的电路设计分为以下几个模块: 分频模块:由于实验电路板上所能提供的只有1Khz和6Mhz的信号,而本设计过 程的即时以及跑表模块需要1hz、100hz和4hz的时钟信号。 控制模块:为达到多动能数字钟在计时、校时、显示日历、跑表等不同的模块之间 切换,需要控制模块产生时序要不相冲突的控制信号,保证各个模块的功能有序的执行。 计时模块:在输入的1hz时钟信号,产生显示的AM、PM、时、分、秒信号,由 于要涉及到后面的校时模块,这里采用带有置数的计时模块,在load信号控制下将校时模块设定的时间转载至初始值,在初始值的基础上正常计时。 校时模块:当功
用户评论
码姐姐匿名网友 2019-03-17 10:15:35

跑表那里是不是少了呀

码姐姐匿名网友 2019-03-17 10:15:35

还挺有用处的,看了收获挺大!

码姐姐匿名网友 2019-03-17 10:15:35

很有用,是我需要用的

码姐姐匿名网友 2019-03-17 10:15:35

真心很有用,启发很大