1. 首页
  2. 编程语言
  3. 其他
  4. VHDL16位乘法器

VHDL16位乘法器

上传者: 2019-01-14 13:52:41上传 TXT文件 1.21KB 热度 37次
不错的乘法器代码library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all;
用户评论
码姐姐匿名网友 2019-01-14 13:52:41

上实验参考可以用

码姐姐匿名网友 2019-01-14 13:52:41

很好的程序,让我对程序理解更深了

码姐姐匿名网友 2019-01-14 13:52:41

非常简单易懂~