1. 首页
  2. 课程学习
  3. 嵌入式
  4. vhdl的4位乘法器程序

vhdl的4位乘法器程序

上传者: 2019-01-23 08:02:37上传 RAR文件 3.9KB 热度 43次
vhdl语言, 4位乘法器程序 用Quartus Π的VHDL语言实现乘法器的基本流程,包括设计输入、综合、适配、仿真测试等方法
用户评论