1. 首页
  2. 编程语言
  3. 硬件开发
  4. VHDL数字时钟.7z

VHDL数字时钟.7z

上传者: 2021-04-17 23:05:10上传 7Z文件 3.02MB 热度 13次
这是用VHDL编写的数字时钟代码,是本人自己写的,可能方法是比较简单的方法,但是基本符合了数字时钟的要求。上传的是整个工程文件。 实现显示“时-分-秒”、整点报时、小时和分钟可调等基本功能。电子钟的工作应该是在1Hz 信号的驱动下进行,这样每来一个该时钟信号,秒增加1 秒,当秒从59 秒跳转到00秒时,分钟增加1 分,同时当分钟从59 分跳转到00 分时,小时增加1 小时,但是需要注意的是,小时的范围是从0~23 时。
用户评论