1. 首页
  2. 存储
  3. Veritas
  4. EDA/PLD中的格雷码转自然码的VHDL实现

EDA/PLD中的格雷码转自然码的VHDL实现

上传者: 2020-12-17 05:36:04上传 PDF文件 15.09KB 热度 17次
library IEEE;use IEEE.std_logic_1164.all;entity grey2norm is generic (width: integer := 8); port ( grey: in std_logic_vector(width - 1 downto 0); norm: out std_logic_vector(width - 1 downto 0) ); end grey2norm; architecture behav of grey2norm i
下载地址
用户评论