1. 首页
  2. 课程学习
  3. 嵌入式
  4. NDI_Enc.zip

NDI_Enc.zip

上传者: 2020-07-24 03:56:21上传 ZIP文件 302.86KB 热度 28次
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library NDI_Enc; use NDI_Enc.NDI_Pkg.all; component Enc_Core_E is generic ( CORE_ID : integer := 0; DEBUG : integer := 0; AVL_ADDR_WIDTH : integer := 32; AVL_BURST_WIDTH : in
下载地址
用户评论