1. 首页
  2. 课程学习
  3. 嵌入式
  4. NDI_Dec.zip

NDI_Dec.zip

上传者: 2020-07-17 01:05:54上传 ZIP文件 401KB 热度 20次
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library NDI_Dec; use NDI_Dec.NDI_Pkg.all; component Dec_Core_E is generic ( CORE_ID : integer := 0; VID_ADDR_WIDTH : integer := 32; VID_BURST_WIDTH : integer := 8; VID_BURS
下载地址
用户评论