1. 首页
  2. 操作系统
  3. Ubuntu
  4. instantiating the lpm_ram_dq component

instantiating the lpm_ram_dq component

上传者: 2020-12-12 22:14:51上传 PDF文件 19.16KB 热度 7次
library lpm;use lpm.lpm_components.all;library ieee;use ieee.std_logic_1164.all;entity lpm_inst is port (clock, we: in std_logic; data : in std_logic_vector(3 downto 0); address : in std_logic_vector(3 downto 0); q : out std_logic_vector (3 downto 0));end lpm_in
下载地址
用户评论