1. 首页
  2. 课程学习
  3. 专业指导
  4. 16位CPU设计源码

16位CPU设计源码

上传者: 2018-12-25 04:15:42上传 RAR文件 92.77KB 热度 38次
16位CPU设计源码 vhdl cpu源码 简单的cpu 16位 vhdl语言 有研究虚拟机 和cpu的 发信给我 adsljsj@126.com
用户评论
码姐姐匿名网友 2018-12-25 04:15:42

挺好的,跟我做的东西比较相近,用处比较大

码姐姐匿名网友 2018-12-25 04:15:42

非常好用推荐

码姐姐匿名网友 2018-12-25 04:15:42

很不错,设计的很好,看后很受益

码姐姐匿名网友 2018-12-25 04:15:42

内容和以下这个重复了 VHDL编写的16位CPU 资源大小:94KB 上传日期:2008-09-01 资源积分:5分 下载次数:81 上 传 者:chenyz00

码姐姐匿名网友 2018-12-25 04:15:42

还不错、就是注释不详细、看着比较费劲