1. 首页
  2. 课程学习
  3. 专业指导
  4. 基于VHDL语言的电子密码锁

基于VHDL语言的电子密码锁

上传者: 2019-05-15 18:36:29上传 ZIP文件 423.72KB 热度 41次
(1)数码输入:每按下一个数字键,就输入一个数值,并在显示器上的显示出该数值,同时将先前输入的数据依序左移一个数字位置。(2)数码清除:按下此键可清除前面所有的输入值,清除为“0000”。(3)密码更改:按下此键时会将目前的数字设定成新的密码。(4)激活电锁:按下此键可将密码锁上锁。(5)解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。
用户评论