1. 首页
  2. 编程语言
  3. 其他
  4. 电子密码锁(基于VHDL语言)

电子密码锁(基于VHDL语言)

上传者: 2019-05-02 05:14:40上传 DOC文件 188.5KB 热度 52次
设计一个简单的数字电子密码锁,密码为4位。用数据开关K1~K10分别代表数字1,2,…9,0,输入的密码用数码显示,最后输入的密码显示右边,即每输入一位数,密码在数码管显示左移一位。同时可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的为上补充“0”。用一位输出电平的状态代表所得开闭状态。为保证密码的主人能打开密码锁,设置一个万能密码。
用户评论