1. 首页
  2. 课程学习
  3. 嵌入式
  4. Metric- Driven Design Verification

Metric- Driven Design Verification

上传者: 2022-10-31 09:50:46上传 RAR文件 2.301 MB 热度 17次

With the alarming number of first pass silicon funcTIonal failures, it

has become necessary for all levels of engineering companies to

understand the verificaTIon process. This book is organized to address

zaTIon. The book is targeted at three somewhat disTInct audiences:

• Executives. The people with their jobs on the line for increasing

shareholder value.

• Project, design, and verification managers. The people responsible

for making sure each design goes out on time and

perfect!

• Verification and design engineers. The innovators responsible

for making sure that the project actually succeeds.

The book is divided into three parts corresponding to its three

audiences. The level of technical depth increases as the book proceeds.

Part I gives an overview of the functional verification process. It

also includes descriptions of the tools that are used in this flow and

the people that enable it all. After outlining functional verification,

Part I describes how the proper application of metric-driven techniques

can enable more productive, more predictable and higher quality

verification projects. Part I is targeted at the executive. It is designed

to enable executives to ask appropriate educated questions to accurately

measure and control the flow of a project.

Part I also holds value for project managers and verification engineers.

It provides an overall view of the entire chip design process

from a verification perspective. The chapters on a typical verification

project and the overview of verification technologies will be

of use to entry level verification engineers as well. This part of the

book also provides a unique viewpoint on why management is

asking for process data and how that data might be used.Part II describes the various process flows used in verification. It

delves into how these flows can be automated, and what metrics can

be measured to accurately gauge the progress of each process. Part II

is targeted at design and verification project managers. The emphasis

is on how to use metrics within the context of standardized

processes to react effectively to bumps in the project’s execution.

Part III’s audience is the design and verification engineering team. It

focuses on the actual verification processes to be implemented and

executed. This section of the book is divided with respect to the

various verification technologies. Each chapter on a given technology

is further subdivided into sections on how to plan effectively,

and how to track metrics to closure.

Entire books have been written on implementing verification using

the technologies discussed in Part III. We will not reiterate what

those excellent volumes have already stated, nor do we intend to

reinvent the wheel (yet, we are engineers after all). Implementation

details will be discussed when they will make the metric-driven

techniques discussed more effective.

Part IV contains various case studies and commentaries from experts

in the metric-driven verification field.

The various parts of the book can also be described as a progression

of process abstractions. The layers of abstractions are “Observational

Processes,” “Container Processes,” and “Implementation

Processes.”

用户评论