1. 首页
  2. 编程语言
  3. C
  4. 51 单片机PWM(直流电机

51 单片机PWM(直流电机

上传者: 2021-04-22 01:22:05上传 ZIP文件 13.83KB 热度 16次
51 单片机PWM(直流电机)#include unsigned char timer1; sbit PWM=P1^2; void system_Ini() { TMOD|= 0x11; //PWM TH1 = 0xfe; //11.0592 TL1 = 0x33; TR1 = 1; IE =0x8A; } main() { system_Ini(); while(1) { if(timer1>100) timer1=0; if(timer1
用户评论