1. 首页
  2. 行业
  3. 外包
  4. 编了个计数器程序与TESTBENCH

编了个计数器程序与TESTBENCH

上传者: 2020-12-13 15:34:10上传 PDF文件 21KB 热度 17次
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity counters is port( reset : in std_logic; cs : in std_logic; clk : in std_logic; q : out std_logic_vector(11 downto 0) );end counters;architecture bev of
用户评论