1. 首页
  2. 课程学习
  3. 网页制作
  4. EDA/PLD中的基于VHDL的彩灯控制

EDA/PLD中的基于VHDL的彩灯控制

上传者: 2020-11-26 18:35:11上传 PDF文件 75KB 热度 17次
摘 要:随着科技的发展,在现代生活中,彩灯作为一种景观应用越来越多。EDA技术的应用引起电子产品及系统开发的革命性变革,文章介绍了以VHDL为基础的彩灯控制电路程序及仿真波形。 关键词:VHDL;彩灯;仿真;控制 随着科技日新月异的发展,在现代生活中,彩灯作为一种景观,安装在建筑物的适当地方一是作为装饰增添节日气氛,二是有一种广告宣传的作用;用在舞台上增强晚会灯光效果。对动态灯光实时控制的装置很多,如电脑编程4路彩灯控制器、CEC电脑彩灯控制器、EPROM程控编码彩灯控制器和计算机灯光控制系统。这些控制装置均运用计算机技术、电子技术和声光技术,对被控灯光系统按设定的变化方案进行亮、
用户评论