1. 首页
  2. 编程语言
  3. C
  4. 四位二进制计数器译码程序

四位二进制计数器译码程序

上传者: 2020-07-17 23:38:58上传 DOC文件 17.5KB 热度 21次
library IEEE; use IEEE.std_logic_1164.all; entity sysegd is port (x : in std_logic_vector(3 downto 0); s : out std_logic_vector (6 downto 0)); end entity; architecture bin27seg_arch of sysegd is begin process(x) begin case x(3 downto 0) is when "0000" => s s
下载地址
用户评论