1. 首页
  2. 课程学习
  3. 嵌入式
  4. verilog实现的累加器程序
用户评论
码姐姐匿名网友 2018-12-29 09:44:16

一般般、、、、、、、、、、、、、、、、、、、、、、

码姐姐匿名网友 2018-12-29 09:44:16

写的一般!

码姐姐匿名网友 2018-12-29 09:44:16

还不错,可以借鉴一下

码姐姐匿名网友 2018-12-29 09:44:16

资源不错,很有用

码姐姐匿名网友 2018-12-29 09:44:16

比较简单,适合初学者

码姐姐匿名网友 2018-12-29 09:44:16

你的累加器太简单了,什么都没有考虑

码姐姐匿名网友 2018-12-29 09:44:16

verilog编写的累加器,已经通过仿真验证,比较简单,适合初学者。

码姐姐匿名网友 2018-12-29 09:44:16

正是我需要的程序,谢谢。

码姐姐匿名网友 2018-12-29 09:44:16

代码具有一定的指导意义,对于入门verilog的人比较有用...