1. 首页
  2. 编程语言
  3. 硬件开发
  4. 跑表存3个数据暂停复位

跑表存3个数据暂停复位

上传者: 2020-07-16 06:36:40上传 RAR文件 407.05KB 热度 14次
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity timer is port(rst,en,clk,clk1s:in std_logic; scanout:out std_logic_vector(1 downto 0); ledout:out std_logic_vector(6 downto 0)); end timer;
下载地址
用户评论