1. 首页
  2. 编程语言
  3. 硬件开发
  4. ADS1100程序代码
下载地址
用户评论
码姐姐匿名网友 2020-05-27 08:14:03

一星我都不想给,你这不是骗人吗,还我的三积分,大家都看清了,里面就是个分频器 library ieee; use ieee.std_logic_1164.all; entity div is generic( d : positive :=1--分频量 ); port ( clock : in std_logic; reset : in std_logic; clock_out : out std_logic ); end entity div; architectur