1. 首页
  2. 编程语言
  3. 硬件开发
  4. VHDL语言实现8位优先编码器

VHDL语言实现8位优先编码器

上传者: 2020-05-19 18:31:38上传 RAR文件 82.24KB 热度 24次
功能:允许同时在几个输入端有输入信号,编码器按输入信号排定的优先顺序,只对同时输入的几个信号中优先权最高的一个进行编码。
用户评论