1. 首页
  2. 编程语言
  3. 硬件开发
  4. FPGA实现DDS信号发生器

FPGA实现DDS信号发生器

上传者: 2018-12-26 08:26:37上传 RAR文件 3.26MB 热度 36次
使用FPGA和VHDL语言实现DDS信号发生器,可以输出正弦波、方波、三角波和锯齿波,频率和幅度可调。
用户评论
码姐姐匿名网友 2018-12-26 08:26:37

很好。值得一看。

码姐姐匿名网友 2018-12-26 08:26:37

资源不错 但是希望里面在附带一个解释 如何操作的文档会更好 文件摆放有点乱

码姐姐匿名网友 2018-12-26 08:26:37

不知道怎么样,我的软件打不开啊

码姐姐匿名网友 2018-12-26 08:26:37

很值得参考

码姐姐匿名网友 2018-12-26 08:26:37

资源挺好的,经过稍微移植修改就可以使用