1. 首页
  2. 课程学习
  3. C++/C
  4. PS2键盘的VHDL程序

PS2键盘的VHDL程序

上传者: 2019-07-13 13:05:21上传 TXT文件 2.23KB 热度 44次
用VHDL检测扫描PS2键盘的程序,读取键值,并用一个数码管显示出来
用户评论
码姐姐匿名网友 2019-07-13 13:05:21

程序可用,但是只译码了0-F 不过可以自己结合led灯逸出其它的按键代码

码姐姐匿名网友 2019-07-13 13:05:21

初学者看着挺勉强,没有注释

码姐姐匿名网友 2019-07-13 13:05:21

不知道是不是DE板子不对,反正我这怎么输入都没反应。 毕竟只是一个TXT,没任何的pin设置,我设置好了后还是没反应

码姐姐匿名网友 2019-07-13 13:05:21

很有参考价值~只是用的板子不一样,但思想差不多

码姐姐匿名网友 2019-07-13 13:05:21

那个软件中断程序里有点问题,但是可以用,按键是对应的

码姐姐匿名网友 2019-07-13 13:05:21

不错,还是能看懂的,用fpga板试过能用,但是好像按键不对应。。不知道我哪里弄错了

码姐姐匿名网友 2019-07-13 13:05:21

如果能够将注释说明也附上就更好了。