1. 首页
  2. 课程学习
  3. C++/C
  4. vhdl整点报时数字时钟

vhdl整点报时数字时钟

上传者: 2019-06-04 16:18:32上传 RAR文件 453.18KB 热度 53次
用vhdl语言编写的数字时钟,可以挣点报时,报时为一段音乐,可以任意调节分和调时。
用户评论
码姐姐匿名网友 2019-06-04 16:18:32

好像有问题,不好使

码姐姐匿名网友 2019-06-04 16:18:32

自己模仿着写了,实验最后过了

码姐姐匿名网友 2019-06-04 16:18:32

不行啊 好像有问题啊