1. 首页
  2. 信息化
  3. 电子商务
  4. 基于fpga的ad采样

基于fpga的ad采样

上传者: 2019-05-25 10:07:30上传 RAR文件 2.29MB 热度 44次
基于fpga与ad之间的高速采样,LCD_EN:outstd_logic;--液晶时钟信号ad_in:instd_logic_vector(7downto0);LCD_Data:outstd_logic_vector(7downto0));--液晶数据信号endLCD1602;architectureBehavioralofLCD1602istypestateis(set_dlnf,set_cursor,set_dcb,set_cgram,write_cgram,set_ddram,write_LCD_Data);signalCurrent_State:state;signa
用户评论