1. 首页
  2. 课程学习
  3. 嵌入式
  4. 高速缓存(Cache)的Verilog代码

高速缓存(Cache)的Verilog代码

上传者: 2019-05-13 18:01:13上传 ZIP文件 6.49KB 热度 76次
该工程包含数据缓存D_Cache和指令缓存I_Cache的Verilog代码和仿真文件,Cache的详细技术参数包含在.v文件的注释中。直接相连16KBD_CacheCache写策略:写回法+写分配(二路)组相连16KBI_CacheCache替换策略:LRUI_Cache的工作就是在cpu需要指令时将指令从主存中搬进I_Cache,再传给CPU,而D_Cache在解决数据读外,还要注意数据写入的问题。本工程可以与arm.v中的arm核协同工作,主存使用dram_ctrl_sim。
用户评论
码姐姐匿名网友 2019-05-13 18:01:13

好资源,不错不错

码姐姐匿名网友 2019-05-13 18:01:13

不错的资料,可以参考。

码姐姐匿名网友 2019-05-13 18:01:13

很有条理,具有很好的参考价值

码姐姐匿名网友 2019-05-13 18:01:13

这个真的非常好,借鉴意义蛮大.

码姐姐匿名网友 2019-05-13 18:01:13

参考一下,看看硬件怎么做的。

码姐姐匿名网友 2019-05-13 18:01:13

代码清晰,感觉还不错

码姐姐匿名网友 2019-05-13 18:01:13

跟我们的工程好像

码姐姐匿名网友 2019-05-13 18:01:13

貌似缺点文件,比如arm.v 。。。