1. 首页
  2. 课程学习
  3. 专业指导
  4. 基于VHDL的流水灯设计

基于VHDL的流水灯设计

上传者: 2019-05-04 14:47:58上传 RAR文件 353.27KB 热度 26次
用VHDL语言的流水灯,共有8个状态,用数码管显示
用户评论
码姐姐匿名网友 2019-05-04 14:47:58

LED啊 初学者练手的东西