1. 首页
  2. 行业
  3. 交通
  4. 基于VHDL的交通灯控制器设计

基于VHDL的交通灯控制器设计

上传者: 2019-02-27 03:49:45上传 PDF文件 203.15KB 热度 40次
用vhdl语言描绘的交通灯控制器的设计 --交通灯控制芯片 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
用户评论