1. 首页
  2. 课程学习
  3. 专业指导
  4. vhdl按键消抖

vhdl按键消抖

上传者: 2019-01-21 04:50:01上传 QPF文件 1.23KB 热度 72次
关于vhdl的消抖程序, library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity shift is port(sin,cp:in std_logic;f:out std_logic); end shift; architecture netlist1 of shift is component dff1 port(d,cp:in std_logic;q:out std_logic); end component; signa
用户评论