1. 首页
  2. 编程语言
  3. 硬件开发
  4. 频率计VERILOG

频率计VERILOG

上传者: 2019-01-18 21:03:25上传 PDF文件 163.79KB 热度 47次
基于Xilinx FPGA的频率计Verilog代码,频率计显示使用6位数码管,测频范围10Hz至100MHz,有1秒、0.1秒、0.01秒三档,档位选择通过复位按钮复用选择。
用户评论
码姐姐匿名网友 2019-01-18 21:03:25

基本的频率计程序 感谢共享

码姐姐匿名网友 2019-01-18 21:03:25

对新手还是有点帮助的

码姐姐匿名网友 2019-01-18 21:03:25

感觉说的不是很详细,有点麻烦

码姐姐匿名网友 2019-01-18 21:03:25

还不错 有参考价值