1. 首页
  2. 课程学习
  3. 嵌入式
  4. 多功能波形发生器VHDL程序与仿真

多功能波形发生器VHDL程序与仿真

上传者: 2019-07-27 23:26:20上传 DOC文件 60.5KB 热度 32次
实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波--A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成--各种波形的线形叠加输出
用户评论
码姐姐匿名网友 2019-07-27 23:26:20

开始编程前,最需要的程序.谢谢楼主