1. 首页
  2. 编程语言
  3. 硬件开发
  4. 基于FPGA 的步进电机控制器设计

基于FPGA 的步进电机控制器设计

上传者: 2019-07-07 07:12:16上传 PDF文件 85.57KB 热度 38次
]步进电机是一种将电脉冲信号转换成相应的角位移的特殊电机,每改变一次通电状态,步进电机的转子就转动一步。目前大多数步进电机控制器需要主控制器发送时钟信号,并且要至少一个I/O口来辅助控制和监控步进电机的运行情况。在单片机或DSP的应用系统中,经常配合CPLD或者FPGA来实现特定的功能。本文介绍通过FPGA实现的步进电机控制器。该控制器可以作为单片机或DSP的一个直接数字控制的外设,只需向控制器的控制寄存器和分频寄存器写入数据,即可实现对步进电机的控制。
用户评论
码姐姐匿名网友 2019-07-07 07:12:16

手头没有串口电机,用LED代替了